Design Automation Laboratory

HOME PEOPLE PROJECTS PUBLICATIONS DOWNLOADS CONTACTS

 

 

 

 

 

 

Books and Book Chapters

B8.
Ming-Chun Huang, Wenyao Xu, Jason J. Liu, Yi Su, Lei He, Majid Sarrafzadeh, "Inconspicuous Personal Computer Protection with Touch-Mouse ," , ISBN: 978-3-642-39344-0, Springer Berlin Heidelberg , July 2013. (pdf)
B7.
Yiyu Shi, Jinjun Xiong and Lei He, "Stochastic Optimization Over Correlated Data Set: A Case Study on VLSI Decoupling Capacitance Budgeting ," , ISBN: 978-953-307-829-8, InTech , February 2011. (pdf)
B6.
Sheldon X.-D. Tan, and Lei He, "Advanced Model Order Reduction Techniques for VLSI Designs," Cambridge University Press , pp 1-217, 2006. (pdf)
B5.
W. Liao and Lei He, "Coupled Power and Thermal Simulation with Active Cooling," Springer-Verlag Publisher, Springer Lecture Notes in Computer Science, Vol. 3164, special issue on Power Aware Computer Systems, Pages 148-163, 2004. (pdf)
B4.
W. Liao and L. He, "Power Modeling and Reduction of VLIW Processors," Compilers and Operating Systems for Low Power , edited by L. Benini, M. Kandemir and J. Ramanujam, ISBN: 1-4020-7573-1, Kluwer Academic Publishers, August 2003, Chapter 9, pp 155-172. (pdf)
B3.
L. He, "Interconnect Modeling and Design with Consideration of On-Chip Inductance," a chapter in Layout Optimizations in VLSI Designs , edited by D. Z. Du and S. Sapatnekar, Kluwer Academic Publishers, November 2001, pp. 155-190. (pdf)
B2.
Z. Tang, N. Chang, S. Lin, W. Xie, S. Nakagawa, and L. He, "Ramping Functional Units for Inductive Noise Reduction," a chapter in Springer Lecture Notes in Computer Science, Vol. 2008, Power Aware Computer Systems , edited by B. Falsafi and T. N. Vijaykumar, July 2001, pp. 13 -24. (pdf)
B1.
J. Cong, L. He and C. K. Koh, "Layout Level Optimization For Low Power," a chapter in Low Power Design in Deep Submicron Electronics , edited by W. Nebel and J. Mermet, Kluwer Academic Publishers, 1997, pp. 205-265.

Journal Papers

J102.
Z Tao, C. Wu, Y. Liang, K Wang, L. He "LW-GCN: A Lightweight FPGA-Based Graph Convolutional Network Accelerator", ACM Transactions on Reconfigurable Technology and Systems, November 2022.
J101.
Li Gong, Jinlong Yan, Yiqiao Chen, Jinjing An, Lei He, Lirong Zheng, Zhuo Zou, "An IoT-based intelligent irrigation system with data fusion and a self-powered wide-area network", Journal of Industrial Information Integration , May 2022.
J100.
Chen Wu, Mingyu Wang, Xinyuan Xu, Kun Wang, Lei He, "Low Precision Floating-point Arithmetic for High Performance FPGA-based CNN Acceleration", ACM Transactions on Reconfigurable Technology and Systems , December 2021.
J99.
X. Shi, H. Yan, Q. Huang, C. Xuan, L. Shi, L. He, "A Compact High-Dimensional Yield Analysis Method using Low-Rank Tensor Approximation", ACM Transactions on Design Automation of Electronic Systems , December 2021.
J98.
Wen Li, Yuan Liang, Xuan Zhang, Chao Liu, Lei He, Leiying Miao, Weibin Sun, "A deep learning approach to automatic gingivitis screening based on classification and localization in RGB photos", Scientific Reports, August 2021.
J97.
X. Shi, H. Yan, J. Wang, J. Zhang, L. Shi, L. He, "An Efficient Adaptive Importance Sampling Method for SRAM and Analog Yield Analysis", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.39, Issue.12, December 2020, pp.4999-5010.
J96.
Y. Yu, T. Zhao, M. Wang, K. Wang, L. He, "Uni-OPU: An FPGA based Uniform Accelerator for Convolutional and Transposed Convolutional Networks", IEEE Transactions on Very Large Scale Integration Systems. 28 (7) , 1545-1556.
J95.
S. Xu, S. Han, W. Meng, S. Yan and L. He, "Robust Beamforming Design for Correlated MISO Wiretap Channels Under Channel Uncertainty", IEEE Wireless Communication Letters 9 (4) , 553-557.
J94.
S. Xu, S. Han, W. Meng, S. Yan and L. He, "Correlation-Based Cooperative Jamming to Enhance Secrecy With Receiver-Side Correlation", IEEE Wireless Communication Letters 9 (3) , 302-305.
J93.
S. Xu, S. Han, W. Meng and L. He, "QoS-Based Robust Cooperative-Jamming-Aided Beamforming for Correlated Wiretap Channels", IEEE Signal Processing Letters , vol.27, pp.216-220, 2020.
J92.
Yunxuan Yu, Chen Wu, Tiandong Zhao, Kun Wang, Lei He, "OPU: An FPGA-based Overlay Processor for Convolutional Neural Network Specific", IEEE Transactions on Very Large Scale Integration Systems , September 2019 (accepted) (pdf)
J91.
Sai Xu, Shuai Han, Weixiao Meng, Yanan Du, and Lei He, "Multiple-Jammer-Aided Secure Transmission With Receiver-Side Correlation", IEEE Transactions on Wireless Communications, Vol.18, No.6, April 2019, pp.3093-3103. (pdf)
J90.
Sai Xu, Shuai Han, Yanan Du, Weixiao Meng, Lei He, and Chengwen Zhang, "An-Aided Secure Beamforming Design for Correlated MISO Wiretap Channels", IEEE Communications Letters, vol.23, No.4, February 2019, pp.628-631.
J89.
Min Gao, Lei He, Kun Wang, "Probabilistic Model Checking and Scheduling Implementation of an Energy Router System in Energy Internet for Green Cities". IEEE Transactions on Industrial Informatics, Vol.14, No.4, April 2018, pp.1501-1510. (pdf)
J88.
Linfeng Mo, Chang Wu, Lei He, Gengsheng Chen, "Layout driven FPGA packing algorithm for performance optimization". IEICE Electronics Express, Vol.14, No.11, 2017, pp.20170419. (pdf)
J87.
Jinlong Yan, Qi Zeng ,Yuan Liang, Lei He, Zhengping Li, "Modeling and Implementation of Electroactive Smart Air-Conditioning Vent Register for Personalized HVAC Systems". IEEE Access, 5 (2017): 1649-1657.(pdf)
J86.
Yu Zhao, Xi Zhang, Zhongshun Shi, Lei He, " Grain price forecasting using a hybrid stochastic method” ,Asia-Pacific Journal of Operational Research. (pdf)
J85.
Cao, Zhe; You, Zheng; He, Lei; Zhang, Yizhe; Xu, Yiduo; Jia, Zhuo, “Output power improvement of solar panels under partial shading with smart-diode structure”. Journal of Northwestern Polytechnical University, Vol.34, Issue.4, August 1, 2016, pp.558-563. (pdf)
J84.
Jun Shi, Xiaoping Liu, Lei He, Mo Han, Qing Zhong, and Naitong Zhang, “Sampling and Reconstruction in Arbitrary Measurement and Approximation Spaces Associated with Linear Canonical Transform” ,IEEE Transactions on Signal Processing, 13 pages, 2016. (Accepted , pdf available for preview)
J83.
Rahul Krishnan, Wei Wu, Srinivas Bodapati, and Lei He,Krishnan, Rahul, et al. "Accurate Multi-segment Probability Density Estimation Through Moment Matching." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 5 (2016). (pdf)
J82.
Kun Wang, Zhiyou Ouyang, Rahul Krishnan, Lei Shu, Lei He," A Game Theory-Based Energy Management System Using Price Elasticity for Smart Grids.", IEEE Trans. Industrial Informatics , 11(6): 1607-1616 (2015) (pdf)
J81.
Fang Gong, Hao Yu, Yiyu Shi, Lei He," Variability-Aware Parametric Yield Estimation for Analog/Mixed-Signal Circuits: Concepts, Algorithms, and Challenges.", IEEE Design & Test , 31(4): 6-15 (2014) (pdf)
J80.
Zhe Feng, Naifeng Jing, Lei He," IPF: In-Place X-Filling Algorithm for the Reliability of Modern FPGAs.", IEEE Trans , VLSI Syst. 22(10): 2225-2228 (2014) (pdf)
J79.
Wensheng Guo, Guowu Yang, Wei Wu, Lei He, Mingyu Sun" A Parallel Attractor Finding Algorithm Based on Boolean Satisfiability for Genetic Regulatory Networks", PLOS ONE , vol.9, issue.4, April 2014 (pdf)
J78.
Lerong Cheng, Wenyao Xu, Fengbo Ren, Fang Gong, Puneet Gupta, Lei He" Statistical timing and power analysis of VLSI considering non-linear dependence",Elsevier, Integration, the VLSI Journal, Feb 2014 (pdf)
J77.
Wenyao Xu, Ming-Chun Huang, Amini, N., Lei He, Sarrafzadeh. M," eCushion: A Textile Pressure Sensor Array Design and Calibration for Sitting Posture Analysis", Sensors Journal, IEEE , vol.13, issue.10, October 2013 pp.3926-3934 (pdf)
J76.
Chaofan Yu, Lingli Wang, Chun Zhang, Yu Hu, Lei He," Fast Filter-Based Boolean Matchers", IEEE Embedded System Letters , September 2013, pp 65-68 (pdf)
J75.
Wei Yao, Siming Pan, Brice Achkir, Jun Fan, Lei He," Modeling and Application of Multi-Port TSV Networks in 3D IC", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. , vol.32, no.4, April 2013 pp.487-496 (pdf)
J74.
Wei Wu, Fang Gong, Krishnan, R., Lei He, Hao Yu," Exploiting Parallelism by Data Dependency Elimination: A Case Study of Circuit Simulation Algorithms", Design & Test, IEEE , vol.30, issue.1, February 2013 pp.26-35 (pdf)
J73.
Fang Gong, Sina Basir-Kazeruni, Lei He, Hao Yu," Stochastic Behavioral Modeling and Analysis for Analog/Mixed-Signal Circuits", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol. 32, no. 1, January 2013 pp.24-33 (pdf)
J72.
Sina Basir-Kazeruni, Hao Yu, Fang Gong, Yu Hu, Chunchen Liu, Lei He," SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty",Integration, the VLSI Journal , vol.46, issue.1, January 2013 pp.22-32 (pdf)
J71.
Naifeng Jing, Ju-Yueh Lee, Zhe Feng, Weifeng He, Zhigang Mao, Lei He" SEU Fault Evaluation and Characteristics for SRAM-based FPGA Architectures and Synthesis Algorithms", ACM Transactions on Design Automation of Electronic Systems (TODAES) ,vol. 18, issue. 1, December 2012 Article No.13 (pdf)
J70.
Fang Gong, Hao Yu, Lingli Wang, Lei He, "A Parallel and Incremental Extraction of Variational Capacitance With Stochastic Geometric Moments", IEEE Trans. VLSI Syst , vol.20, issue.9, September 2012 pp.1729-1737 (pdf)
J69.
Lerong Cheng, Fang Gong, Wenyao Xu, Jinjun Xiong, Lei He, Majid Sarrafzadeh, "Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis", IEEE Trans. VLSI Syst , vol.20, issue.8, August 2012 pp.1383-1391 (pdf)
J68.
Lerong Cheng, Wenyao Xu, Fang Gong, Yan Lin, Ho-Yan Wong, Lei He," Statistical Timing and Power Optimization of Architecture and Device for FPGAs", ACM Transactions on Reconfigurable Technology and Systems , vol.5, issue.2, June 2012 (pdf)
J67.
Fang Gong, Wenyao Xu, Jueh-Yu Lee, Lei He, Majid Sarrafzadeh" NeuroGlasses: A Neural Sensing Healthcare System for 3-D Vision Technology", IEEE Transactions on Information Technology in Biomedicine , vol.16, issue.2, March 2012 pp.198-204 (pdf)
J66.
Fang Gong, Xuexin Liu, Hao Yu, Sheldon X.D. Tan and Lei He, "A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic Orthogonal Polynomials", ACM Transactions on Design Automation of Electronic Systems (TODAES) , vol.17, issue.1, January 2012. (pdf)
J65.
Wei Yao, Yiyu Shi, Lei He, Sudhakar Pamarti, "Worst-Case Estimation for Data-Dependent Timing Jitter and Amplitude Noise in High-Speed Differential Link," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.20, no.1, pp.89-97, Jan. 2012 (pdf)
J64.
Lei He, Shauki Elassaad, Yiyu Shi, Yu Hu, Wei Yao:"System-in-Package: Electrical and Layout Perspectives"Foundations and Trends in Electronic Design Automation .vol.4 no.4, pp. 223-306 2011 (pdf)
J63.
Wenyao Xu, Jia Wang, Yu Hu, Ju-Yueh Lee, Fang Gong, Lei He and Majid Sarrafzadeh, "In-Place FPGA Retiming for Mitigation of Variational Single-Event Transient Faults," IEEE Transactions on Circuits and Systems I, vol.58, no.6,pp.1372 - 1381,May 2011(pdf)
J62.
Yiyu Shi, Jinjun Xiong, Howard Chen, Lei He,"Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator."IEEE Trans. VLSI Syst. vol.19, no.3 pp.508-512 2011(pdf)
J61.
Lerong Cheng, Fang Gong, Wenyao Xu, Jinjun Xiong, Lei He, Majid Sarrafzadeh, "Fourier Series Approximation for Max Operation in Non-Gaussian and Quadratic Statistical Static Timing Analysis," IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol.PP, no.99,pp.1-9,Jun.2011.(pdf)
J60.
Fang Gong, Hao Yu, Lingli Wang, Lei He, "A Parallel and Incremental Extraction of Variational Capacitance With Stochastic Geometric Moments," IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol.PP, no.99,pp1-9.Jun.2011 (pdf)
J59.
Lerong Cheng, Puneet Gupta, Costas J. Spanos, Kun Qian, and Lei He, "Physically Justifiable Die-Level Modeling of Spatial Variation in View of Systematic Across Wafer Variability",IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems , Volume: 30, Issue:3, March 2011, pp. 388 - 401. (pdf)
J58.
D. Chen, J. Cong, C. Dong, L. He, F. Li, C. Peng, "Technology Mapping and Clustering for FPGA Architectures with Dual Supply Voltages," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume: 29, Issue: 11, Nov. 2010, pp. 1709-1722.
J57.
Chun Zhang, Yu Hu, Lingli Wang, Lei He, Jiarong Tong, "Accelerating Boolean Matching Using Bloom Filter", IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, Volume:93A, Issue:10, Oct. 2010, pp.1775-1781 .
J56.
Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Robi Dutta, Xianlong Hong: "Effective congestion reduction for IC package substrate routing", ACM Transactions on Design Automation of Electronic Systems (TODAES) , Volume 15, Issue 3, May 2010.
J55.
Zhen Cao, Brian Foo, Lei He and Mihaela van der Schaar, "Optimality and Improvement of Dynamic Voltage Scaling Algorithms for Multimedia Applications," IEEE Transactions on Circuits and Systems I , Volume 57, Issue 3, March 2010, pp. 681-690. (pdf)
J54.
Yiyu Shi, Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model-Order Reduction Method for Physical Optimization", IEEE Transaction on VLSI System , Volume:18, Issue:1, Jan. 2010, pp.108-118.
J53.
Hao Yu, Chunta Chu, Yiyu Shi, David Smart,Lei He and Sheldon X.D. Tan, "Fast Analysis of a Large-Scale Inductive Interconnect by Block Structure Preserved Macromodeling," IEEE Transactions on Very large Scale Integration Systems(TVLSI) , Volume 18, Issue 10, Oct. 2010, pp. 1399-1411.
J52.
Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," IEEE Transactions on Very Large Scale Integration (VLSI) Systems , Volume 18, Issue 11, Jan. 2010, pp. 108-118.
J51.
Yiyu Shi, Jinjun Xiong, Howard Chen and Lei He, "Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator," IEEE Transactions on Very large Scale Integration Systems(TVLSI) , Volume PP, Issue 99, Dec. 2009, pp. 1-5.
J50
Lerong Cheng, Puneet Gupta, and Lei He, "Efficient Additive Statistical Leakage Estimation," IEEE Transactions on CAD(TCAD) , Volume 28, Issue 11, Nov. 2009, pp. 1777-1781.
J49.
Hao Yu, Lei He, and M.C. Frank Chang, "Robust On-chip Signaling using Staggered and Twisted Interconnect," IEEE Design and Test of Computers (DTC) , vol.26, no.5, pp92-104, September 2009.
J48.
Hao Yu, Joanna Ho and Lei He, "Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity," ACM Transactions on Design Automation of Electronic Systems (TODAES) , May 2009. (2010 TODEAS Best Paper Award)
J47.
Yu Hu, Satyaki Das, Steve Trimberger and Lei He, "Design and Synthesis of Programmable Logic Block with Mixed LUT and Macro-Gate," IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems , April 2009. (pdf)
J46.
Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robi Dutta, and Xian-Long Hong, "Topological Routing to Maximize Routability for Package Substrate," IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems , Feb 2009.
J45.
Lerong Cheng, Jinjun Xiong, Lei He, "Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting," IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems , Jan 2009.
J44.
Yu Hu, Victor Shih, Rupak Majumdar, and Lei He, "Exploiting Symmetries to Speed-Up SAT-Based Boolean Matching for Logic Synthesis of FPGAs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, VOL. 27, NO. 10, Oct 2008.Page(s):1751-1760. (pdf)
J43.
Hao Yu, Yiyu Shi, Lei He and Tanay Karnik, "Thermal Via Allocation for 3D ICs Considering Temporally and Spatially Variant Thermal Power," IEEE Transactions on Very Large Scale Integration Systems. Low Power Electronics and Design , Oct. 2006. ISLPED'06. Page(s):156-161.
J42
King Ho Tam, Yu Hu, Lei He, Tom Tong Jing, and Xinyi Zhang, "Dual-Vdd Buffer Insertion for Power Reduction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, VOL.27, NO. 8 August 2008 Page(s):1498-1502. (pdf)
J41.
Yiyu Shi, Jinjun Xiong, Chunchen Liu and Lei He, "Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Volume 27, Issue 7, July 2008 Page(s):1253-1263.
J40.
Yu Hu, Yan Lin, Lei He and Tim Tuan, "Physical Synthesis for FPGA Interconnect Power Reduction by Dual-Vdd Budgeting and Retiming," ACM Transactions on Design Automation of Electronic Systems (TODAES) , Volume 13, Issue 2, April 2008. (pdf)
J39.
Zhen Cao, Tom Tong Jing, Jinjun Xiong, Yu Hu, Zhe Feng, Lei He and Xianlong Hong, "Fashion: A Fast and Accurate Solution to Global Routing Problem," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Vol.27, No.4, pp.726-737, April 2008. (pdf)
J38.
Yan Lin, Lei He and Mike Hutton, "Stochastic Physical Synthesis Considering Pre-routing Interconnect Uncertainty and Process Variation for FPGAs," IEEE Transactions on Very Large Scale Integration (VLSI) Systems , Feb. 2008, Volume: 16, Issue: 2, page(s): 124-133.
J37
Xinyi Zhang, Lei He, Vassilios Gerousis, Li Song and Chin-Chi Ten, "Case Study and Efficient Modeling for Variational Chemical-Mechanical Planarization," IET Circuits, Devices & Systems , 2008, 2, (1), pp.30-36.
J36.
Yiyu Shi, Paul Mesa, Hao Yu and Lei He, "Circuit Simulated Obstacle-Aware Steiner Routing," ACM Transactions on Design Automation of Electronic Systems , Volume 12, Issue 3, August 2007. (link)
J35.
Yan Lin, Mike Hutton and Lei He, "Statistical Placement for FPGAs considering process variation," IET Computers & Digital Techniques, July 2007, Volume 1, Issue 4, p. 267-275.
J34.
Changbo Long, Lucanus J. Simonson, Weiping Liao and Lei He, "Microarchitecture Configurations and Floorplanning Co-Optimization," IEEE Transactions on Very Large Scale Integration (VLSI) Systems , Volume 15, Issue 7, July 2007, Pages: 830-841. (pdf )
J33.
Liu P., Tan S. X.-D., McGaughy B., Wu L. and He L., "TermMerg: An Efficient Terminal Reduction Method for Interconnect Circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Issue 99, 2007. (link)
J32.
Cheng, L., Li, F., Lin, Y., Wong, P. and He, L, "Device and Architecture Cooptimization for FPGA Power Reduction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ,Volume 26, Issue 7, July 2007 Page(s):1211-1221. (link)
J31.
Jinjun Xiong, and Lei He, "Probabilistic Transitive-closure Ordering and its Application on Variational Buffer insertion," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Vol.26, No.4, April, 2007. (pdf)
J30.
Jun Chen, Lei He, "Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 2006. (pdf)
J29.
Jinjun Xiong, Vladimir Zolotov, Lei He, "Robust Extraction of Spatial Correlation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 2006. (pdf)
J28.
Fei Li, Yan Lin, and Lei He, "Field Programmability of Supply Voltages for FPGA Power Reduction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 2006. (pdf)
J27.
Lei He, Andrew Kahng, King Ho Tam, and Jinjun Xiong, "Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 12 pages. (pdf)
J26.
J. Xiong and L. He, "Full-Chip Multi-Level Routing for Power and Signal Integrity", Integration, the VLSI Journal , 18 pages. (pdf)
J25.
Y. Lin and L. He, "Dual-Vdd Interconnect with Chip-level Time Slack Allocation for FPGA Power Reduction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume 25, Issue 10, Oct. 2006 Page(s): 2023 - 2034. (pdf)
J24.
J. Chen and L. He, "Modeling and Synthesis of Multi-Port Transmission Line for Multi-Channel Interconnect," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Volume 25, Issue 9, Sept. 2006 Page(s): 1664 - 1676. (pdf)
J23.
Z. Qi, H. Yu, P. Liu, S. Tan and L. He, "Wideband Passive Multi-Port Model Order Reduction and Realization of RLCM Circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 13 pages. (pdf)
J22.
Weiping Liao and Lei He, "Microarchitecture Level Interconnect Modeling Considering Layout Optimization," Journal of Low Power Electronics, American Scientific Publishers , January 2006. (pdf)
J21
Weiping Liao and Lei He, "Microarchitecture-Level Leakage Reduction with Data Retention," IEEE Transactions on Very Large Scale Integration Systems, November 2005. (pdf)
J20.
Yan Lin, Fei Li and Lei He, "Circuits and Architecture Evaluation for Field Programmable Gate Array with Configurable Supply Voltage," IEEE Transactions on Very Large Scale Integration Systems , September 2005, pp. 1035-1047. (pdf)
J19.
Fei Li, Yan Lin, Lei He, Deming Chen, Jason Cong, "Power Modeling and Characteristics of Field Programmable Gate Arrays," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, October 2005, pp. 1712-1724. (link) (the 3rd most downloaded paper in 2006 among all papers ever published by IEEE Trans. on CAD)
J18
Fei Li, Lei He, Joe Basile, Rakesh J. Patel and Hema Ramamurthy, "Leakage Current Aware High-Level Estimation for VLSI Circuits," IEEE Proceeding on Computers & Digital Techniques , special issue for 2003 International Workshop on Power and Timing Modeling, Optimization and Simulation. (pdf)
J17
Hao Yu and Lei He, "A Provably Passive and Cost Efficient Model for Inductive Interconnects," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 11 pages, August 2005, pp. 1283-1294. (pdf).
J16
Jun Chen and Lei He, "Worst-Case Crosstalk Noise for Non-Switching Victims in High-Speed Buses," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 9 pages, August 2005, pp. 1275 - 1283. (pdf)
J15
Weiping Liao, Lei He and Kevin Lepak, "Temperature and Supply Voltage Aware Performance and Power Modeling at Microarchitecture Level," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , July 2005, 1042-1053. (pdf)
J14.
Jun Chen and Lei He, "Piece-wise Linear Model for Transmission Line with Capacitive Loading and Ramp Input," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , June 2005, pp. 928-937. (pdf)
J13.
Jinjun Xiong and Lei He, "Extended Global Routing with RLC Crosstalk Constraints," IEEE Transactions on Very Large Scale Integration Systems , Vol. 13, Issue 3, Pages 319-329, March 2005. (pdf)
J12.
Changbo Long and Lei He, "Distributed Sleep Transistor Network for Power Reduction," IEEE Transactions on Very Large Scale Integration Systems , Pages 937-946, September 2004. (ppt)
J11.
Kevin M. Lepak, Min Xu, Jun Chen and Lei He, "Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization," ACM Transactions on Design Automation of Electronic Systems , Volume 9, Issue 3, Pages 290 - 309, 2004. (pdf)
J10.
Ling Zhang, Tong Jing, Xianlong Hong, Jingyu Xu, Jinjun Xiong and Lei He, "CEE-Gr: A Global Router with Performance Optimization under Multi-Constraints," Chinese Journal of Semiconductors, 2004, 25(5): 508-515. (pdf)
J9.
J. Xiong, L. He, "Full-chip Routing Optimization with RLC Crosstalk Budgeting," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , Volume: 23, Issue: 3, March 2004 Pages: 366 - 377. (pdf)
J8.
J. Cong, L. He, C. K. Koh, and Z. Pan, "Interconnect Sizing and Spacing Considering Coupling Capacitance," IEEE Transactions on Computer-Aided Design , vol. 20, no. 9, pp.1164-1169, September 2001. (pdf)
J7.
J. Cong and L. He, "Theory and Algorithm of Local Refinement Based Optimization with Application to Device and Interconnect Sizing," IEEE Transactions on Computer-Aided Design , April 1999, pp. 406-420. (pdf). (best paper nomination)
J6.
J. Cong, L. He, C.-K. Koh and P. H. Madden, "Performance Optimization of VLSI Interconnect Layout," Invited survey Integration, the VLSI Journal , vol. 21, 1996, pp. 1-94. (pdf)
J5.
J. Cong and L. He, "Optimal Wire sizing for Interconnects with Multiple Sources", ACM Transactions on Design Automation of Electronic Systems , October, 1996, pp. 478-511. (pdf)
J4.
L. He, K. H. Zhang and P. S. Tang, "FTSIM: A switch level fast timing simulator," CIE Acta Electronica Sinica , February 1995, vol.23, (no.2):17-21. (Best Paper Award )
J3.
L. He, K. H. Zhang and P. S. Tang, "Fast Timing Simulation Considering Feedback Processing," CIE Journal of Electronics , April 1994.
J2.
L. He, J. R. Tong and P. S. Tang, "Development and Maintenance of CAD Software," CCF Journal of CAD and Graphics , vol. 6, No. 1, January 1994.
J1.
Y. Q. Zhang, L. He, J. R. Tong and P. S. Tang, "An Integrated CAD Software Development Environment," CCF Journal of CAD and Graphics , vol. 5, No. 3, March 1993.

Conference Papers

C200.
Tiandong Zhao, Siyuan Miao, Shaoqiang Lu, Jialin Cao, Jun Qiu, Xiao Shi, Kun Wang, Lei He "Token-packing for Transformers with Variable-Length Inputs", International Conference on Field-Programmable Logic and Applications, September 2023.
C199.
Xiaochen Hao, Hongbo Rong, Mingzhe Zhang, Ce Sun, Zhuofu Tao, Yu Zhang, Lei He, Eric Petit, Wenguang Chen, Yun Liang "Lasa: Abstraction and Specialization for Productive and Performant Linear Algebra on FPGAs", International Symposium On Field-Programmable Custom Computing Machines (FCCM), May 2023.
C198.
H Chen, J. Yang, D. M. Iascone, L. Liu, L. He, H Peng, Yao J "TreeMoCo: Contrastive Neuron Morphology Representation Learning", Conference on Neural Information Processing Systems (NeurIPS), December 2022.
C197.
Jiawei Yang, Hanbo Chen, Yuan Liang, Junzhou Huang, Lei He, Jianhua Yao "ConCL: Concept Contrastive Learning for Dense Prediction Pre-training in Pathology Images", 7th European Conference on Computer Vision, October 2022.
C196.
Jiawei Yang, Hanbo Chen Chen, Yu Zhao, Fan Yang, Yao Zhang, Lei He, Jianhua Yao "ReMix: A General and Efficient Framework for Multiple Instance Learning based Whole Slide Image Classification", International Conference on Medical Image Computing & Computer Assisted Intervention (MICCAI), September 2022.
C195.
Chen Wu, Zhuofu Tao, Kun Wang, Lei He "SkeletonGCN: A Simple Yet Effective Accelerator For GCN Training", International Conference on Field Programmable Logic and Applications, August 2022.
C194.
Yuan Liang, Weikun Han, Liang Qiu, Kun Wang, Lei He"Exploring Forensic Dental Identification with Deep Learning", Conference on Neural Information Processing Systems (NeurIPS), December 2021.
C193.
Jiawei Yang, Yao Zhang, Yuan Liang, Yang Zhang, Lei He, Zhiqiang He"TumorCP: A Simple but Effective Object-Level Data Augmentation for Tumor Segmentation", International Conference on Medical Image Computing and Computer Assisted Intervention, October 2021.
C192.
Chen Wu, Jinming Zhuang, Kun Wang, Lei He"MP-OPU: A Mixed Precision FPGA-based Overlay Processor for Convolutional Neural Networks", 2021 31st International Conference on Field-Programmable Logic and Applications (FPL), August 2021.
C191.
Yinqiu Liu, Kai Qian, Kun Wang, Lei He"Effective Scaling of Blockchain Beyond Consensus Innovations and Moore’s Law: Challenges and Opportunities", IEEE Systems Journal, June 2021.
C190.
Tiandong Zhao, Yunxuan Yu, Kun Wang, Lei He"Heterogeneous Dual-Core Overlay Processor for Light-Weight CNNs", IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2021.
C189.
Yuan Liang, Liang Qiu, Tiancheng Lu, Zhujun Fang, Dezhan Tu, Jiawei Yang, Tiandong Zhao, Yiting Shao, Kun Wang, Xiang 'Anthony' Chen, Lei He"OralViewer: 3D Demonstration of Dental Surgeries for Patient Education with Oral Cavity Reconstruction from a 2D Panoramic X-ray", Conference on Intelligent User Interfaces, April 2021.
C188.
Jiawei Yang, Yuan Liang, Yao Zhang, Weinan Song, Kun Wang, Lei He"Exploring Instance-Level Uncertainty for Medical Detection", IEEE International Symposium on Biomedical Imaging (ISBI), April 2021.
C187.
Jiawei Yang, Weinan Song, Yuan Liang, Kun Wang, Lei He,"T-Net: Learning Feature Representation with Task-specific Supervisions in Biomedical Image Analysis", IEEE International Symposium on Biomedical Imaging (ISBI), April 2021.
C186.
Weinan Song, Yuan Liang, Jiawei Yang, Kun Wang, Lei He,"Oral-3D: Reconstructing the 3D Structure of Oral Cavity from Panoramic X-ray", AAAI Conference on Artificial Intelligence, February 2021.
C185.
Xiao shi, Hao Yan, Chuwen Li, Jianli Chen, Longxing Shi, Lei He,"A Non-Gaussian Adaptive Importance Sampling Method for High-Dimensional and Multi-Failure-Region Yield Analysis", IEEE/ACM International Conference on Computer-Aided Design, October 2020.
C184.
Yuan Liang, Weinan Song, Yang Jiawei, Liang Qiu, Kun Wang, Lei He,"X2teeth: 3D teeth reconstruction from a single panoramic radiograph", International Conference on Medical Image Computing and Computer-Assisted Intervention, October 2020.
C183.
Y. Liang, H. Fan, Z. Fan, L. Miao, W. Li, X. Zhang, W. Sun, K. Wang*, L. He*, and X. Chen*,"OralCam: enabling self-examination and awareness of oral health using a smartphone camera", ACM CHI 2020, Honolulu, Hawaii, USA, 2020.4.
C182.
Chen Wu, Mingyu Wang, Xinyuan Chu, Kun Wang and Lei He,"Low Precision Floating Point Arithmetic for High Performance FPGA-based CNN Acceleration", FPGA symposium, Feb 2020 (accepted)
C181.
Yunxuan Yu, Tiandong Zhao, Kun Wang and Lei He,"Light-OPU: An FPGA-based Overlay Processor for Lightweight Convolutional Neural Networks", FPGA symposium, Feb 2020 (accepted)
C180.
Tingshen Lan, Xingquan Li, Jianli Chen, Wenxing Zhu, Jun Yu, Lei He, Yao-Wen Chang,"Timing-Aware Fill Insertions with Design Rules and Density Constraints", ICCAD 2019
C179.
Jianli Chen, Wenxing Zhu, Jun Yu, Lei He, Yao-Wen Chang,"Analytical placement with 3D Poisson's equation and ADMM based optimization for large-scale 2.5D heterogeneous FPGAs", ICCAD 2019
C178.
Xiao Shi, Hao Yan, Jiajia Zhang, Qiancun Huang, Longxing Shi, Lei He,"Efficient Yield Analysis for SRAM and Analog Circuits using Meta-Model based Importance Sampling Method", ICCAD 2019
C177.
Xiao Shi, Jinlong Yan, Hao Yan, Jilia Zhang, Longxing Shi, Lei He,"Adaptive Low-Rank Tensor Approximation for SRAM Yield Anaylsis using Bootstrp Resampling", IEEE ASIC Conference, Oct. 2019 (best paper nomination)
C176.
Yuan Liang, Weinan Song, J.P. Dym, Kun Wang, Lei He,"CompareNet: Anatomical Segmentation Network with Deep Non-local Label Fusion", MICCAI 2019
C175.
Xiao Shi, Hao Yan, Qiancun Huang, Jiajia Zhang, Longxing Shi, Lei He,"Meta-Model based High-Dimensional Yield Analysis using Low-Rank Tensor Approximation", DAC 2019 (pdf, slides)
C174.
Xiao Shi, Hao Yan, Jinxin Wang, Xiaofen Xu, Fengyuan Liu, Longxing Shi, Lei He,"Adaptive Clustering and Sampling for High-Dimensional and Multi-Failure-Region SRAM Yield Analysis", ISPD 2019 (pdf, slides)
C173.
Yunxuan Yu, Chen Wu, Xiao Shi, Lei He, "Overview of A FPGA-Based Overlay Processor", China Semiconductor Technology International Conference (CSTIC),  2019. (invited paper)
C172.
Xiao Shi, Fengyuan Liu, Jun Yang, Lei He,"A Fast and Robust Failure Analysis of Memory Circuits Using Adaptive Importance Sampling Method", DAC 2018 (pdf, slides)
C171.
Xiao Shi, Zhongmao Sun, Yunxuan Yu, Jun Yang, Lei He,"Low Voltage SRAM with Fault Mitigation Techniques for Energy-Efficient Convolutional Neural Networks", SELSE 2018
C170.
Min Gao, Lei He, Kun Wang,"Probabilistic Model Checking for Green Energy Router System in Energy Internet", IEEE GLOBECOM 2017
C169.
Juexiao Su, Lei He,"Fast Embedding of Constrained Satisfaction Problem to Quantum Annealer With Minimizing Chain Length", The 54th Annual Design Automation Conference(DAC) 2017 (pdf)
C168.
Min Gao, Lei He, Rupak Majumdar, Zilong Wang,"LLSPLAT: Improving Concolic Testing by Bounded Model Checking", IEEE 16th International Working Conference on Source Code Analysis and Manipulation 2016 (pdf)
C167.
Juexiao Su, Ju-Yueh Lee, Chang Wu, Lei He,"In-place LUT polarity inVersion to mitigate soft errors for FPGAs", Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) 2016 (pdf)
C166.
Juexiao Su, Tianheng Tu, Lei He,"A Quantum Annealing Approach for Boolean Satisfiability Problem", The 53rd Annual Design Automation Conference(DAC) 2016 (pdf)
C165.
Wei Wu, Yen-Lung Chen, Yue Ma, Chien-Nan Liu, Jing-Yang Jou, Sudhakar Pamarti, and Lei He, "Wave Digital Filter based Analog Circuit Emulation on FPGA", IEEE International Symposium on Circuits and Systems (ISCAS), 2016 (pdf)
C164.
Wei Wu, Srinivas Bodapati, and Lei He, "Hyperspherical Clustering and Sampling for Rare Event Analysis with Multiple Failure Region Coverage", International Symposium on Physical Design (ISPD),  2016. (Nomination for Best Paper Award) (pdf, slides)
C163.
Kun Wang, Yun Shao, Lei Shu, Yanfei Sun, Lei He, "An improved spray and wait algorithm based on RVNS in Delay Tolerant Mobile Sensor Networks", IEEE ICC 2015 - Communications Software, Services and Multimedia Applications Symposium. (pdf)
C162.
Kun Wang, Gup Huang, Chunsheng Zhu, Lei He, "A Social Awareness based Feedback Mechanism for Delivery Reliability in Delay Tolerant Networks", IEEE ICC 2015 - Communications Software, Services and Multimedia Applications Symposium. (pdf)
C161.
Yen-Lung Chen, Wei Wu, Chien-Nan Liu, and Lei He, "Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits", 20th Asia and South Pacific Design Automation Conference, (ASP-DAC). 2015 (pdf)
C160.
Wei Wu, Xiang Li, Lei He and Dongxiao Zhang, "Accelerating the Iterative Linear Solver for Reservoir Simulation on Multicore Architectures", 20th IEEE International Conference on Parallel and Distributed Systems, (ICPADS). 2014 (pdf)
C159.
Wei Wu, Wenyao Xu, Rahul Krishnan, Yen-Lung Chen, and Lei He , "REscope: High-dimensional Statistical Circuit Simulation towards Full Failure Region Coverage", 51st ACM/IEEE Design Automation Conference, (DAC), 2014.(pdf)
C158.
Wei Wu, Fang Gong, GengSheng Chen, and Lei He , "A fast and provably bounded failure analysis of memory circuits in high dimensions", 19th Asia and South Pacific Design Automation Conference, (ASP-DAC). 2014: 424-429 (ppt)(pdf)
C157.
Rahul Krishnan, Wei Wu, Fang Gong, Lei He, "Stochastic Behavior Modeling of Analog/Mixed-Signal Circuits by Maximizing Entropy", International Symposium on Quality Electronic Design (ISQED), 2013:572-579(pdf)
C156.
Wenyao Xu, Ming-Chun Huang, Navid Amini, Jason J Liu, Lei He, Majid Sarrafzadeh "Smart insole: a wearable system for gait analysis", Proceedings of the 5th International Conference on PErvasive Technologies Related to Assistive Environments. Jun 6th 2012. pp. 18 (pdf)
C155.
Ayca Balkan, Min Gao, Paulo Tabuada, Lei He,"A Behavioral Algorithm for State of Charge Estimation," the 26th Electric Driving Transportation Association Electric Vehicle Symposium(EVS'12). May 2012 (pdf)
C154.
Ju-Yueh Lee, Cheng-Ru Chang, Naifeng Jing, Juexiao Su, Shijie Wen, Rich Wong, Lei He , "Heterogeneous configuration memory scrubbing for soft error mitigation in FPGAs", Field-Programmable Technology(FPT), 2012 International Conference on, IEEE. 2012:23-28 (pdf)
C153.
Fang Gong, Sina Basir-Kazeruni, Lara Dolecek, Lei He, "A Fast Estimation of SRAM Failure Rate Using Probability Collectives". 2012 International Symposium on Physical Design 2012 (ISPD'12). pp. 41-48 (pdf)
C152.
Naifeng Jing, Ju-Yueh Lee, Weifeng He, Zhigang Mao and Lei He, "Mitigating FPGA Interconnect Soft Errors by In-Place LUT Inversion," ICCAD 2011 pp.582-586 (ppt)(pdf)
C151.
Naifeng Jing, Ju-Yueh Lee, Zhe Feng, Weifeng He, Zhigang Mao, Shi-Jie Wen, Rick Won and Lei He, "Quantitative SEU Fault Evaluation for SRAM-Based FPGA Architectures and Synthesis Algorithms," FPL 2011 pp.282-285(pdf)
C150.
Lintao Cui, Jing Chen, Yu Hu, Jinjun Xiong, Zhe Feng, Lei He, "Acceleration of Multi-agent Simulation on FPGAs," FPL 2011 pp. 470-473(pdf)
C149.
Zhe Feng, Naifeng Jing, Yu Hu and Lei He, "IPF: In-place X-Filing to Mitigate Soft Errors in SRAM-based FPGAs", International Conference on Field Programmable Logic and Applications(FPL), Sep.,2011.pp.482-485(ppt)(pdf)
C148.
Fang Gong, Hao Yu, Lei He, "Fast Non-Monte-Carlo Transient Noise Analysis for High-Precision Analog/RF Circuits by Stochastic Orthogonal Polynomials". In Proceedings of the 48th IEEE Design Automation Conference (DAC'11), San Diego, CA, June5-10, 2011. pp.298-303. (ppt)(pdf)
C147.
Fang Gong, Hao Yu, Lei He, "Stochastic Analog Circuit Behavior Modeling by Point Estimation Method". In Proceedings of the 2011 International Symposium on Physical Design 2011 (ISPD'11) with Professor Ernest Kuh Commemorative, 2011. pp. 175-182. (ppt)(pdf)
C146.
Naifeng Jing, Ju-Yueh Lee, Chun Zhang, Jiarong Tong, Zhigang Mao, Lei He, "Fault modeling and characteristics of SRAM-based FPGAs." FPGA 2011 pp.276-279
C145.
Wenyao Xu, Fang Gong, Lei He, Majid Sarrafzadeh, "Wearable Assistive System Design for Fall Prevention". 3rd Joint Workshop on High Confidence Medical Devices, Software, and Systems & Medical Device Plug-and-Play Interoperability (HCMDSS/MDPnP 2011), Chicago, Illinois, April 11, 2011.
C144.
Ju-Yueh Lee, Zhe Feng and Lei He, "In-Place Decomposition for Robustness in FPGA," 2010 International Conference on Computer-Aided Design , Nov. pp.7-11(ppt)(pdf)
C143.
Yiyu Shi and Lei He, "Modeling and Design for Beyond-the-Die Power Integrity," embedded tutorial, IEEE/ACM International Conference on Computer-Aided Design (2010) (ppt)(pdf)
C142.
Chun Zhang, Yu Hu, Lingli Wang, Lei He and Jiarong Tong, "Engineering a Scalable Boolean Matching Based on EDA SaaS 2.0", 2010 International Conference on Computer-Aided Design , Nov.2010 7-11
C141.
Fang Gong, Hao Yu, Yiyu Shi, Daesoo Kim, Junyan Ren, Lei He, "QuickYield: an efficient global-search based parametric yield estimation with performance constraints". DAC 2010 pp.392-397(ppt)(pdf)
C140.
Manu Jose, Yu Hu, Rupak Majumdar and Lei He, "Rewiring for Robustness", 47th IEEE Design Automation Conference (DAC'10), Anaheim, CA, June 13-18, 2010. (Nomination for Best Paper Award)(pdf)
C139.
Bingjun Xiao, Yiyu Shi, Lei He, "A Universal State-of-Charge algorithm for Batteries", 47th IEEE Design Automation Conference (DAC'10) , Anaheim, CA, June 13-18, 2010(ppt)(pdf)
C138.
Samuel B. Luckenbill, Ju-Yueh Lee, Yu Hu, Rupak Majumdar, Lei He, "RALF: Reliability Analysis for Logic Faults - An exact algorithm and its applications". DATE 2010pp. 783-788.(ppt)(pdf)
C137.
Chun Zhang, Yu Hu, Lingli Wang, Lei He, Jiarong Tong, "Building a faster boolean matcher using bloom filter". FPGA 2010pp. 185-188
C136.
Ju-Yueh Roy Lee, Yu Hu, Rupak Majumdar, Lei He, and Minming Li, "Fault-Tolerant Resynthesis with Dual-Output LUTs", ASP-DAC 2010.pp.325-330(ppt)(pdf)
C135.
Lerong Cheng, Puneet Gupta, Lei He" On confidence in characterization and application of variation models". ASP-DAC 2010pp.751-756
C134.
Fang Gong, Hao Yu, Yiyu Shi, Daesoo Kim, Junyan Ren, Lei He, "An Efficient Non-Iterative Parametric Yield Estimation with Performance Constraints", 47th IEEE Design Automation Conference (DAC'10) , Anaheim, CA, June 13-18, 2010
C133.
Yiyu Shi, Wei Yao, Jinjun Xiong, and Lei He, "Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis", ASPDAC 2009 . (pdf) (ppt)
C132.
Lerong Cheng, Puneet Gupta, and Lei He, "Accounting for Non-linear Dependence Using Function Driven Component Analysis", ASPDAC 2009 . (pdf)
C131.
Yiyu Shi, Wei Yao, Lei He and Sudhakar Pamarti, "Joint Design-time and Post-silicon Optimization for Analog Circuits: A Case Study Using A High-speed Transmitter", SRC Techcon Conference , Texas, 2009 (ppt)
C130.
Wei Yao, Yiyu Shi and Lei He and Sudhakar Pamarti, "Worst Case Timing Jitter and Amplitude Noise in Differential Signaling", SRC Techcon Conference , Texas, 2009 (ppt)
C129.
Zhe Feng, Yu Hu, Lei He and Rupak Majumdar, "IPR: In-Place Reconfiguration for FPGA Fault Tolerance", ICCAD 2009 . (nomination for Best Paper Award)(ppt) (pdf)
C128.
Wei Yao, Yiyu Shi, Lei He and Sudhakar Parmati, "Joint Design-Time and Post-Silicon Optimization for Digitally Tuned Analog Circuits", ICCAD 2009 (pdf) (ppt)
C127.
Yu Hu, Lei He, "Power-Efficient and Fault-Tolerant Circuits and Systems", IEEE international Conference on Application Specific Integrated Circuits , Oct 2009, Changsha, China. (ppt) (ppt)
C126.
Lerong Cheng, Puneet Gupta, Costas Spanos, Kun Qian, and Lei He, "Physically Justiable Die-Level Modeling of Spatial Variation in View of Systematic Across Wafer Variability," DAC 2009 .
C125.
Fang Gong, Hao Yu, and Lei He, "PiCAP: A Parallel and Incrementel Capacitance Extraction Considering Stochastic Process Variation", DAC 2009 . (ppt) (pdf)
C124.
Ju-Yueh Lee, Yu Hu, Rupak Majumdar, and Lei He, "Simultaneous Test Pattern Compaction, Ordering and X-Filling for Testing Power Reduction", ISQED 2009 . (pdf) (ppt)
C123.
Wei Yao, Yiyu Shi, Lei He and Sudhakar Parmati, "Worst Case Timing Jitter and Amplitude Noise in Differential Signaling", ISQED 2009 .
C122.
Yiyu Shi, Jinjun Xiong, Howard Chen, and Lei He, "Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction", ASPDAC 2009 . (nomination for Best Paper Award) (pdf) (ppt)
C121.
Yiyu Shi, Lei He, and C.-J. Richard Shi, "Scalable Symbolic Model Order Reduction", IEEE Behaviorial Modeling and Simulation Conference 2008. (pdf) (ppt)
C120.
Yu Hu, Zhe Feng, Lei He, and Ruapk Majumdar, "Robust FPGA Resynthesis Based on Fault Tolerant Boolean Matching", in Proceedings of 2008 IEEE/ACM International Conf. on Computer-Aided Design , Nov. 10-13, pp. 706-713 ( nomination for Best Paper ). (ppt) (pdf)
C119.
Zhen Cao, Brian Foo, Lei He, and Mihaela van der Schaar , "Optimality and Improvement of Dynamic Voltage Scaling Algorithms for Multimedia Applications," IEEE/ACM Design Automation Conference , June, 2008, Anaheim, CA. (nomination for Best Paper Award) (pdf)
C118.
Yu Hu, Victor Shih, Rupak Majumdar, and Lei He, "FPGA Area Reduction by Multi-Output Function Based Sequential Resynthesis," IEEE/ACM Design Automation Conference , June, 2008, Anaheim, CA. (pdf) (ppt)
C117.
Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robi Dutta, and Xian-Long Hong, "Topological Routing to Maximize Routability for Package Substrate," IEEE/ACM Design Automation Conference , June, 2008, Anaheim, CA. (ppt)
C116.
Yu Hu, Zhe Feng, Rupak Majumdar, and Lei He, "Templates and Algorithms of Boolean Matching for Fault Tolerance in FPGAs," IEEE International Workshop on Logic and Synthesis , June 2008, Lake Tahoe, CA.
C115.
Yu Hu, Victor Shih, Rupak Majumdar, and Lei He, "FPGA Area Reduction by Multi-Output Function Based Sequential Resynthesis," IEEE International Workshop on Logic and Synthesis , 2008, Lake Tahoe, CA. (pdf) (ppt)
C114.
Lerong Cheng, Jinjun Xiong, and Lei He, "Non-Gaussian Statistical Timing Analysis Using Second-Order Polynomial Fitting," Proc. Asia South Pacific Design Automation Conf. , 2008. (pdf) (ppt)
C113.
Lerong Cheng, Yan Lin, Lei He, and Yu Cao, "Trace Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability," Proc. ACM Intl. Symp. Field-Programmable Gate Arrays , 2008. (pdf) (ppt)
C112.
Chun-Ta Chu, Xinyi Zhang, Lei He and Tom Tong Jing, "Temperature Aware Microprocessor Floorplanning Considering Application Dependent Power Load," IEEE/ACM International Conf. on Computer-Aided Design (ICCAD) , 2007. (pdf) (ppt)
C111.
Yan Lin and Lei He, "Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate," IEEE/ACM International Conf. on Computer-Aided Design (ICCAD) , 2007. (pdf) (ppt)
C110.
Yu Hu, Satyaki Das, Steve Trimberger and Lei He, "Design, Synthesis and Evaluation of Heterogeneous FPGA with Mixed LUTs and Macro-Gates," ICCAD , 2007. (pdf) (ppt)
C109.
Yu Hu, Victor Shih, Rupak Majumdar and Lei He, "Exploiting Symmetry in SAT-Based Boolean Matching for Heterogeneous FPGA Technology Mapping," ICCAD , 2007. (pdf) (ppt)
C108.
Yiyu Shi, Jinjun Xiong, Chunchen Liu and Lei He, "Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations," ICCAD 2007 . (nomination for Best Paper Award) (pdf) (ppt)
C107.
Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," SRC Techcon Conference 2007 . (pdf) (ppt)
C106.
Hao Yu, Yu Hu, Chun-Chen Liu and Lei He, "Minimal Skew Clock Synthesis Considering Time Variant Temperature Gradient," SRC Techcon Conference, 2007 . (pdf) (ppt)
C105.
Lerong Cheng, Jinjun Xiong and Lei He, "Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources," in proceedings of IEEE/ACM Design Automation Conference , 2007.
C104.
Hao Yu, Chunta Chu and Lei He, "Off-chip Decoupling Capacitor Allocation for Chip Package Co-Design," in proceedings of IEEE/ACM Design Automation Conference , 2007. (pdf) (ppt)
C103.
Yu Hu, Satyaki Das and Lei He, "Design, Synthesis and Evaluation of Heterogeneous FPGA with Mixed LUTs and Macro-Gates," IWLS , 2007. (pdf) (ppt)
C102.
Yu Hu, Victor Shih, Rupak Majumdar and Lei He, "Exploiting Symmetry in SAT-Based Boolean Matching for Heterogeneous FPGA Technology Mapping," IWLS , 2007. (pdf) (ppt)
C101.
Yan Lin and Lei He, "Statistical Dual-Vdd Assignment for FPGA Interconnect Power Reduction ," IEEE/ACM Design Automation and Test in Europe, April 2007. (pdf)
C100.
Hao Yu, Yu Hu, Chuenchen Liu, and Lei He, "Minimal Skew Clock Embedding Considering Time Variant Temperature Variation Gradient," ACM International Symposium on Physical Design (ISPD) , March 2007. (pdf) (ppt)
C99.
Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," International Symposium on Physical Design (ISPD) , 2007 (pdf) (ppt)
C98.
Yu Hu, King Ho Tam, Tong Jing and Lei He, "Fast Power-optimal Buffering Based on Interconnect Prediction and Sampling," IEEE/ACM System Level Interconnect Prediction (SLIP) , Austin, Texas, March, 2007. (pdf) (ppt)
C97.
Lerong Cheng, Jinjun Xiong, and Lei He, "Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources," ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems(TAU), Feb. 2007. (pdf) (ppt)
C96.
Yan Lin and Lei He, "Stochastic Physical Synthesis for FPGAs with Pre-routing Interconnect Uncertainty and Process Variation," IEEE/ACM International Symposium on Field-Programmable Gate Arrays , Feb 2007. (pdf) (ppt)
C95.
Zhen Cao, Tong Jing, Jinjun Xiong, Yu Hu, Lei He, and Xianlong Hong, "DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm," IEEE/ACM Asia and South Pacific Design Automation Conference(ASPDAC) , Japan, 2007. (pdf) (ppt)
C94.
Hao Yu, Yiyu Shi, and Lei He, "A First Order Block Structure Preserving Model Order Reduction with Inversed Inductance," IEEE/ACM International Conf. on Computer-Aided Design , San Jose, CA, Nov. 6-9, 2006. (pdf) (ppt)
C93.
Hao Yu, Joanna Ho, and Lei He, "Simultaneous Power and Thermal Integrity Driven Via Stapling in 3D ICs," IEEE/ACM International Conf. on Computer-Aided Design , San Jose, CA, Nov. 6-9, 2006. (nomination for Best Paper Award) (pdf) (ppt)
C92.
Changbo Long, Sasank Reddy, Lei He, Sudhakar Pamarti, and Tanay Karnik, "Power-Efficient Pulse Width Modulation DC/DC Converters with Zero Voltage Switching Control," International Symposium on Low Power Electronics and Design , October 2006. (pdf)
C91.
Yan Lin, Yu Hu and Lei He, "An Efficient Chip Level Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction," International Symposium on Low Power Electronics and Design , 2006. (pdf) (ppt)
C90.
Yu Hao, Yiyu Shi, Lei He, "Thermal Via Allocation for 3D ICs Considering Temporally and Spatially Variant Thermal Power," International Symposium on Low Power Electronics and Design, 2006. (pdf) (ppt)
C89.
Lerong Cheng, Jinjun Xiong, Lei He, "FPGA Performance Optimization via Chipwise Placement Considering Process Variations," International Conference on Field Programmable Logic and Applications , 2006 (pdf)
C88.
Yan Lin, Mike Hutton and Lei He, "Placement and Timing for FPGAs Considering Variations," International Conference on Field Programmable Logic and Applications , 2006. (pdf) (ppt)
C87.
Yu Hu, Yan Lin, Lei He and Tim Tuan, "Simultaneous Time Slack Budgeting and Retiming for Dual-Vdd FPGA Power Reduction," IEEE/ACM Design Automation Conference, 2006. (pdf) (ppt)
C86.
Yiyu Shi, Paul Mesa, Hao Yu, Lei He, "Circuit Simulation Based Obstacle-Aware Steiner Routing," IEEE/ACM Design Automation Conference, 2006 . (pdf) (ppt)
C85.
Hao Yu, Yiyu Shi, and Lei He. "Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction," ( nomination for Best Paper ), IEEE/ACM Design Automation Conference , 2006. (pdf) (ppt)
C84.
Jinjun Xiong, Vladimir Zolotov, Lei He, "Robust Extraction of Spatial Correlation," ( Best Paper Award IEEE/ACM International Symposium on Physical Design , 2006. (pdf) (ppt)
C83.
Jinjun Xing, Lei He, "Fast Buffer Insertion Considering Process Variations," IEEE/ACM International Symposium on Physical Design , 2006. (pdf) (ppt)
C82.
Yiyu Shi, Hao Yu and Lei He, "Generalized Second-Order Arnoldi Method for Model Order Reduction with Multiple Non-impulse Sources," IEEE/ACM International Symposium on Physical Design , 2006. (pdf) (ppt)
C81.
Jun Chen, Lei He, "Noise-Driven In-Package Decoupling Capacitance Insertion," IEEE/ACM International Symposium on Physical Design , 2006. (pdf)
C80.
Jinjun Xiong, YC Wong, Egino Sarto, Lei He, "Constraint Driven I/O Planning and Placement for Chip-package Codesign," IEEE/ACM Asia and South Pacific Design Automation Conference , 2006. (pdf) (ppt)
C79.
Yiyu Shi, Tong Jing, Lei He and Zhe Feng, "CDCTree: Novel Obstacle-Avoiding Routing Tree Construction based on Current Driven Circuit Model," IEEE/ACM Asia and South Pacific Design Automation Conference, 2006. (pdf) (ppt)
C78.
Jinghong Liang, Tong Jing, Xianlong Hong, Jinjun Xiong, Lei He, "Power/Ground Network Aware and Row-Based Solutions to the Crosstalk Driven Routing Problem," In Proceedings of IEEE ASICON, Shanghai , China, 2005, pp.776-779. (pdf) (ppt)
C77.
P. Liu, S. X.-D. Tan, H. Li, Z. Qi, J. Kong, B. McGaughy, L. He, "An efficient method for terminal reduction of interconnect circuits considering delay variations," Proc. IEEE/ACM International Conf. on Computer-Aided Design , San Jose, CA, Nov. 2005. (pdf)
C76.
P. Wong, L. Cheng, Y. Lin and L. He, "FPGA Device and Architecture Evaluation Considering Process Variation," Proc. IEEE/ACM International Conf. on Computer-Aided Design , San Jose, CA, Nov. 2005. (pdf)
C75.
J. Chen and L. He, "Transmission Line Modeling and Synthesis for Multi-Channel Communication," IEEE International Behavioral Modeling and Simulation Conference , September 22-23, 2005. (pdf)
C74.
Hao Yu, Lei He, and Sheldon X.D. Tan "Block Structure Preserving Model Reduction for Linear Circuits with Large Numbers of Ports," IEEE International Behavioral Modeling and Simulation Conference , September 22-23, 2005. (pdf) (ppt)
C73.
Y. Chang, K. Tam and L. He, "Power-Optimal Repeater Insertion Considering Vdd and Vt as Design Freedoms," International Symposium on Low Power Electronics and Design , 6 pages, August 2005. (pdf)
C72.
L. J. Simonson and L. He, "Micro-Architecture Performance Estimation by Formula," SAMOS V: Embedded Computer Systems: Architectures, Modeling, and Simulation , Samos, Greece, pp. 192-201, July 18-20, 2005. (pdf)
C71.
K. Tam and L. He, "Power-Optimal Dual-Vdd Buffered Tree Considering Buffer Stations and Blockages," Design Automation Conference , 6 pages, June 2005. (pdf) (ppt)
C70.
L. Cheng, P. Wong, F. Li, Y. Lin and L. He, "Device and Architecture Co-Optimization for FPGA Power Reduction," Design Automation Conference , 6 pages, June 2005. (pdf) (ppt)
C69.
Y. Lin, and L. He, "Leakage efficient chip-level dual-vdd assignment with time slack allocation for FPGA power reduction," Design Automation Conference , 6 pages, June 2005. (pdf) (ppt)
C68.
H. Yu and L. He, "A Sparsified Vector Potential Equivalent Circuit Model for Massively Coupled Interconnects," International Symposium on Circuits and Systems , 4 pages, May 2005. (pdf)
C67.
L. He, A. B. Kahng, K. Tam and J. Xiong, "Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation," the International Symposium on Physical Design , pp. 78-85, April 2005. (pdf) (ppt)
C66.
H. Yu and L. He, "Analysis and Synthesis of Staggered Twisted Bundle for Crosstalk Reduction," International Symposium on Quality Electric Design , pp. 682-687, March 2005. (pdf) (ppt)
C65.
J. Wong, W. Liao, F. Li, L. He and M. Potkonjak, "Scheduling of Soft Real-Time Systems for Context-Aware Applications," Design Automation and Test in Europe , pp. 318-323, March 2005. (pdf)
C64.
J. Xiong, K. Tam and L. He, "Buffer Insertion Considering Process Variation," Design Automation and Test in Europe , pp. 970-975, March 2005. (pdf)
C63.
L. He, A. B. Kahng, K. Tam and J. Xiong, "Design of IC Interconnects with Accurate Modeling of CMP," International Society for Optical Engineering (SPIE) Symposium on Microlithograhpy , pp. 109-119, March 2005. (pdf) (ppt)
C62.
Y. Lin, F. Li and L. He, "Power Modeling and Architecture Evaluation for FPGA with Novel Circuits for Vdd Programmability," IEEE/ACM International Symposium on Field Programmable Gate Arrays , pp. 199-207, February 2005. (pdf) (ppt)
C61.
T. Jing, L. Zhang, J. H. Liang, J. Xu, X. L. Hong, J. Xiong and L. He, "A Min-Area Solution to Performance and RLC Crosstalk Driven Global Routing Problem," IEEE/ACM Asia and South Pacific Design Automation Conference , pp. 115-120, January 2005. (pdf) (ppt)
C60.
Z. Qi, S. X.-D. Tan, H. Yu, L. He and P. Liu, "Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction," IEEE/ACM Asia and South Pacific Design Automation Conference , pp. 224-229, January 2005. (pdf)
C59.
Y. Lin, F. Li and L. He, "Routing Track Duplication with Fine-Grained Power-Gating for FPGA Interconnect Power Reduction," IEEE/ACM Asia and South Pacific Design Automation Conference , pp. 645-650, January 2005. (pdf) (ppt)
C58.
H.Yu, L. He and X. D. Tan, "A Wideband Realizable Circuit-Reduction for RLCM Interconnects," IEEE/ACM Asia and South Pacific Design Automation Conference , pp. 111-114, January 2005. (pdf)
C57.
J. Xiong and L. He, "Probabilistic Congestion Model Considering Shielding for Crosstalk Reduction," IEEE/ACM Asia and South Pacific Design Automation Conference , pp. 739-742, January 2005. (pdf)
C56.
F. Li, Y. Lin and L. He, "Vdd Programmability to Reduce FPGA Interconnect Power," IEEE/ACM International Conference on Computer-Aided Design , pp. 760-765, November 2004. (pdf)
C55.
L. He, A. B. Kahng., K. Tam and J. Xiong, "Variability-Driven Considerations in the Design of Integrated-Circuit Global Interconnects," IEEE VLSI Multilevel Interconnection Conference , pp. 214-221, October 2004. (Invited paper) (pdf) (ppt)
C54.
H. Yu, L. He and S. X.D Tan, "Compact Macro-Modeling for on-chip RF passive Components," IEEE International Conference on Communications, Circuits and Systems , pp. 1195 - 1199, Vol. 2, June 2004. (pdf)
C53.
L. He, W. Liao and M. Stan, "System Level Leakage Reduction Considering Leakage and Thermal Interdependency," IEEE/ACM Design Automation Conference , pp. 12 - 17, June 2004. (Invited paper) (pdf)
C52.
F. Li, Y. Lin and L. He, "FPGA Power Reduction Using Configurable Dual-Vdd," IEEE/ACM Design Automation Conference , pp. 735-740, June 2004. (pdf)
C51.
C. Long, L. Simonson, W. Liao and L. He, "Floorplanning Optimization with Trajectory Piecewise-Linear Model for Pipelined Interconnects," IEEE/ACM Design Automation Conference , pp. 640-645, June 2004. (pdf)
C50.
L. Zhang, T. Jing, X. Long, J. Xu, J. Xiong, L. He, "Performance and RLC Crosstalk Driven Global Routing," International Symposium on Circuits and Systems , Volume: 5, 23-26, pp. 65-68, May 2004. (pdf) (ppt)
C49.
X. Zhao, Y. Cai, Q. Zhou, X. Long, L. He and J. Xiong, "Shielding Area Optimization under the Solution of Interconnect Crosstalk," International Symposium on Circuits and Systems , Volume:5, 23-26, pp. 297-300, May 2004. (pdf)
C48.
C. Long, J. Xiong and L. He, "On Optimal Physical Synthesis of Sleep Transistors," International Symposium on Physical Design , pp. 156-161, April 2004. (pdf)
C47.
L. Simonson, K. Tam, N. Akkiraju, M. Mohan and L. He, "Leveraging Delay Slack in Flip-flop and Buffer Insertion for Power Reduction," International Symposium on Quality Electronic Design , pp. 69-74, March 2004. (pdf)
C46.
J. Xiong and L. He, "Full-chip Multilevel Routing for Power and Signal Integrity," Design Automation and Test in Europe , pp. 1116-1121, March 2004. (pdf)
C45.
D. Chen, J. Cong, F. Li and L. He, "Low Power Technology Mapping for FPGA Architectures with Dual Supply Voltages," the Twelfth International Symposium on Field Programmable Gate Arrays , pp. 109-117, February 2004. (pdf)
C44.
F. Li, Y. Lin, L. He and J. Cong, "Low-power FPGA using Dual-Vdd/Dual-Vt Techniques," the Twelfth International Symposium on Field Programmable Gate Arrays , pp. 42-50, February 2004. (pdf)
C43.
J. Xiong and L. He, "Integrity-Driven Power and Signal Network Codesign," ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems , pp. 119-126, February 2004. (pdf)
C42.
J. Chen, L. He and S. Muddu, "Worst Case RLC Noise with Timing Window Constraints," ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems , pp.105-111, February 2004. (pdf)
C41.
F. Li and L. He, "High-level Area and Power-up current estimation considering Rich Cell Library," IEEE/ACM Asia South Pacific Design Automation Conference , pp. 899-904, January 2004. (pdf)
C40.
J. Chen and L. He, "Modeling and Synthesis of Coplanar Waveguide for Buffered Clock Tree," IEEE/ACM Asia South Pacific Design Automation Conference , pp. 367-372, January 2004. (pdf)
C39.
W. Liao and L. He, "Coupled Power and Thermal Simulation and Its Application," in the 3rd Workshop on Power-Aware Computer Systems, in conjunction with the 36th Annual International Symposium on Microarchitecture , pp.148-163, December 2003. (pdf)
C38.
W. Liao and L. He, "Full-chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-flop Insertion," Proceedings of International Conference on Computer Aided Design , pp. 574-580, November 2003. (ppt)
C37.
L. Zhang, T. Jing, X. Hong, J. Xu, J. Xiong and L. He, "Performance optimization global routing with RLC crosstalk constraints," IEEE International Conference on ASIC , Volume:1, 21-24, pp. 191-194, October 2003. (Best Student Paper Award) (pdf) (ppt)
C36.
F. Li, L. He, J. Basile, R.Patel and H. Ramamurthy, "High-level Area and current estimation," 13th International Workshop on Power and Timing Modeling, Optimization and Simulation , Italy, pp. 259-268, September 2003. (pdf)
C35.
W. Liao, F. Li and L. He, "Microarchitecture Level Power and Thermal Simulation Considering Temperature Dependent Leakage Model," in Proceedings of International Symposium on Low Power Electronics and Design , pp. 211-216, August 2003. (pdf)
C34.
C. Long and L. He, "Distributed Sleep Transistor Network for Leakage Power Reduction," IEEE/ACM Design Automation Conference , pp. 181-186, June 2003. (pdf)
C33.
H. Yu and L. He, "Vector Potential Equivalent Circuit Based on PEEC Inversion," IEEE/ACM Design Automation Conference , pp. 718-723, June 2003. (pdf) (ppt)
C32.
F. Li, D. Chen, L. He and J. Cong, "Architecture Evaluation for Power Efficient FPGAs," ACM International Symposium on Field Programmable Gate Array , pp. 175-184, February 2003. (pdf)
C31.
J. Chen, L. He, "Determination of Worst-Case Crosstalk Noice for Non-Switching Victims in GHz+ Interconnects," IEEE/ACM Asia South Pacific Design Automation Conference , pp. 162-167, January 2003. (pdf)
C30.
J. Chen, L. He, "Determination of Worst-Case Crosstalk Noise for Non-Switching Victims in GHz+ Buses," ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems , pp. 92-97, December 2002. (pdf)
C29.
W. Liao, J. Basile, and L. He, "Leakage Power Modeling and Reduction with Data Retention," IEEE/ACM ICCAD , pp. 714-719, November 2002. (pdf)
C28.
J. Xiong, J. Chen, and L. He, "Post Global Routing RLC Crosstalk Budgeting," IEEE/ACM ICCAD , pp. 504-509, November 2002.(pdf)
C27.
J. D. Ma and L. He, "Toward Global Routing with RLC Crosstalk Constraints," IEEE/ACM Design Automation Conference , pp. 669-672, June 2002.(pdf)
C26.
J. Chen,and L. He, "A Decoupling Method for Analysis of Coupled RLC Interconnects," in IEEE/ACM International Great Lakes Symposium on VLSI , pp. 41 -46, April 2002.(pdf)
C25.
F. Li and L. He, "Estimation of Maximum Power-up Current," Asia South Pacific Design Automation Conference , pp. 51-56, January 2002. (pdf)
C24.
J. D. Ma and L. He, "Formulae and Applications of Interconnect Estimation Considering Shielding Insertion and Net Ordering," IEEE/ACM International Conf. on Computer-Aided Design , pp. 327-332, November 2001. (pdf)
C23.
J. D. Ma, A. Parihar, and L. He, "Pre-routing Estimation of Shielding for RLC Signal Integrity," International Conference on Computer Design , pp. 553-556, September 2001. (pdf)
C22.
W. Liao and L. He, "Ongoing Work on Power Modeling and Reduction for VLIW Processors," Workshop on Compilers and Operating Systems for Low Power , September 2001.(pdf)
C21.
K. M. Lepak, I. Luwandi, and L. He, "Shield insertion and net ordering under explicit RLC noise constraint," Design Automation Conference , pp. 199-202, June 2001. (pdf)
C20.
F. Li and L. He, "Maximum Current Estimation with Consideration of Power Gating," IEEE/ACM International Symposium on Physical Design , pp. 106-111, April 2001.(pdf)
C19.
J. D. Ma and L. He, "Simultaneous Signal and Power Routing Based on Keff Model," ACM International Workshop on System-Level Interconnect Prediction , pp. 175-182, March 2001. (pdf)
C18.
M. Xu and L. He, "An efficient model for frequency-based on-chip inductance," IEEE/ACM International Great Lakes Symposium on VLSI , pp. 115-120, March 2001. (pdf)
C17.
Z. Tang, N. Chang, S. Lin, W. Xie, S. Nakagawa, and L. He, "Instruction prediction for step power reduction," IEEE International Symposium on Quality of Electronic Design , pp. 211-216, March 2001.(pdf)
C16.
L. Yin and L. He, "An Efficient Analytical Model for Coupled On-Chip RLC Interconnects," IEEE/ACM Asia South Pacific Design Automation Conference , pp.385-390, January 2001. (pdf)
C15.
Z. Tang, N. Chang, S. Lin, W. Xie, S. Nakagawa, and L He, "Ramp Up/Down Floating Point Unit to Reduce Inductive Noise," Workshop on Power-Aware Computer Systems, in conjunction with the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems , pp.291-321, November 2000. (pdf)
C14.
L. He and Shen Lin, "Interconnect Modeling and Design for Gigascale Systems-on-Chip," IEEE International ASIC/SOC Conference , September 2000. invited tutorial (pdf)
C13.
L. He and K. M. Lepak, "Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization," IEEE/ACM International Symposium on Physical Design , pp. 55-60, April 2000. (pdf)
C12.
N. Chang, S. Lin, L. He, O. S. Nakagawa, and W. Xie, "Clocktree RLC extraction with Efficient Inductance Modeling," Design Automation and Test in Europe , pp. 522-526, March 2000. (pdf)
C11.
L. He, N. Chang, S. Lin, and O. S. Nakagawa, "An Efficient Inductance Modeling for On-chip Interconnects," nomination for Best Paper IEEE Custom Integrated Circuits Conference , pp. 457-460, May 1999. (pdf)
C10.
J. Cong and L. He "An Efficient Technique for Device and Interconnect Optimization in Deep Submicron Designs," ACM Int'l Symposium on Physical Design , pp. 45-51, April 1998. (ps)
C9.
J. Cong and L. He, C. K. Koh and Z. Pan, "Global Interconnect Sizing and Spacing with Consideration of Coupling Capacitance," ACM/IEEE Int'l Conf. on Computer-Aided Design , pp. 478-485, November 1997. (pdf)
C8.
J. Cong, L. He, K. Y. Khoo, C. K. Koh and Z. Pan, "Interconnect Design for Deep Submicron ICs," embedded tutorial ACM/IEEE Int'l Conf. on Computer-Aided Design , pp. 628-633, November 1997. (ps)
C7.
J. Cong, L. He, A. B. Kahng, D. Noice, N. Shirali and S. H.-C. Yen, "Analysis and Justification of a Simple, Practical 2 1/2-D Capacitance Extraction Methodology," ACM/IEEE Design Automation Conference , pp. 627-632, June 1997. (pdf)
C6.
J. Cong and L. He, "An Efficient Approach to Simultaneous Transistor and Interconnect Sizing," ACM/IEEE Int'l Conf. on Computer-Aided Design , pp. 181-186, November 1996. (pdf)
C5.
J. Cong and L. He, "Simultaneous Transistor and Interconnect Sizing Based on the General Dominance Property," ACM SIGDA Workshop on Physical Design , pp. 34-39, April 1996.
C4.
J. Cong and L. He, "Optimal Wiresizing for Interconnects with Multiple Sources," ACM/IEEE Int'l Conf. on Computer-Aided Design , pp. 568-574, November 1995. (pdf)
C3.
L. He, K. H. Zhang and P. S. Tang, "A Switch-Level Fast-Timing Simulator," Int'l Conf. on Computer-Aided Design and Computer Graphics , pp. 565-70, vol.2, August 1993.
C2.
L. He, S. Chen, K. H. Zhang and P. S. Tang, "Implementation of Digital/Analog Mixed-Mode Simulation in SPICE," Int'l Conf. on Computer-Aided Design And Computer Graphics , pp. 577-81, vol.2, August 1993.
C1.
L. He, K. H. Zhang and P. S. Tang, "An efficient feedback processing method for relaxation based fast timing simulation," IEEE International Symposium on VLSI Technology, Systems, and Applications , pp. 12-14, May 1993. (pdf)

Invited Speeches

T23.
Yunxuan Yu, Chen Wu, Xiao Shi, Lei He, "Overview of A FPGA-Based Overlay Processor", China Semiconductor Technology International Conference (CSTIC), March 2019. (invited talk)
T22.
Invited Speaker, "Acceleration for Deep Learning", AI Chip Forum, Nanjing, June 2018.
T21.
Keynote Speaker, "AI for IoT Edge Devices", ShanghaiTech Workshop on Emerging Devices, Circuits and Systems (SWEDCS), June 2018.
T20.
Keynote Speaker, "Artificial Intelligence, hype or hope for the next killer application?", China Semiconductor Technology International Conference, March 2017.
T19.
Panel Speaker, "Internet of Things for Smart Air Conditioning and Smart Irrigation", Saudi International Electronics, Communications and Photonics Conference, 2015.
T18.
Keynote Speaker, "Intern of Things for Energy and Water Conservation", China Semiconductor Technology International Conference (CSTIC), March 2015.
T17.
Keynote Speaker, "Information Technology and Intelligent Material for Water and Energy Conservation", Tsinghua University Next Generation Energy Workshop, October 2014.
T16.
Keynote Speaker, "Modeling and Design with Consideration of Process Variations", China Semiconductor Technology International Conference (CSTIC), March 2014.
T15.
Panel Speaker, Grid Storage, Verdexchange 2013.
T14.
Panel Speaker, "South-South Collaboration Workshop on Climate Changes", organized by China National Development and Reform Commission and World Institute of Resources.
T13.
Keynote Speaker, "US Policy and Incentives for Smart Grid", China-Germany Workshop on Smart Grid, 2012.
T12.
Panel Speaker, Green Technology Commercialization, Verdexchange 2012.
T11.
Lei He, and Yiyu Shi, "Modeling and Design for Beyond-the-Die Power Integrity," embedded tutorial, IEEE/ACM International Conference on Computer-Aided Design , 2010.
T10.
Silvakumar P. Mudanai, Noel Menezes, and Lei He, "Transistor, Cell, and Interconnect Modeling: Basics to Advances", half day tutorial, IEEE/ACM International Conference on Computer-Aided Design , 2006.
T9.
Paul M. Harvey, Howard Chen, Lei He, Chung-Kuan Cheng, and Kaushik Sheth, "Surviving and Thriving in the World of Chip and Package Co-Design", full day tutorial, IEEE/ACM Design Automation Conference , July 2006.
T8.
Paul M. Harvey, Howard Chen, Chung-Kuan Cheng, Manjid Borah, Lei He, and Sheldon Tan, "High Performance Interconnect and Packaging", full day tutorial, IEEE/ACM Asia South-Pacific Design Automation Conference , January 2006.
T7.
A. Devgan, S. Elassaad and L. He, "Design and Analysis at the Chip-Package Interface," half-day tutorial, IEEE/ACM International Conference on Computer-Aided Design, Nov. 2005.
T6.
L. He, M. Hutton, T. Tuan and S. Wilton, "Challenges and Opportunities for Low Power FPGAs in Nanometer Technologies," embedded tutorial, IEEE/ACM International Symposium on Low Power Electronics and Design , Aug. 2005. ( Introduction1 2 3 4 )
T5.
L. Daniel, L. He, and B. Krauter, "Package-Chip Co-Design: Strategies and Challenges," half-day tutorial, IEEE/ACM International Symposium on Quality Electronic Design , March 2005. (1 2 3 4)
T4.
H. Chen, E. Chiprout, and L. He, "Power, Timing and Signal Integrity in SoC Designs", half-day tutorial, IEEE/ACM Asia South-Pacific Design Automation Conference , January 2003. (1 23 4)
T3.
L. He and S. Lin, "Signal Integrity for High-Performance Low-Power Circuits", half-day tutorial, IEEE International Symposium on Circuits and Systems , May 2002.
T2.
L. He and S. Lin, "Interconnect Modeling and Design for Gigascale Systems-on-Chip with Consideration of Inductance," half-day tutorial, IEEE International ASIC/SOC Conference , September 2000. (1 2)
T1.
J. Cong, L. He, K. Y. Khoo, C. K. Koh and Z. Pan, "Interconnect Design for Deep Submicron ICs", embedded tutorial, IEEE/ACM International Conference on Computer-Aided Design , November 1997.

arXiv and Reports

R13.
Hamza Khan, Asma Khan, Zainab Khan, Lun Bin Huang, Kun Wang, and Lei He, "NPE: An FPGA-based Overlay Processor for Natural Language Processing", arXiv:2104.06535 (pdf)
R12.
Weinan Song, Yuan Liang, Kun Wang, and Lei He, "Oral-3D: Reconstructing the 3D Bone Structure of Oral Cavity from 2D Panoramic X-ray", arXiv:2003.08413 (pdf)
R11.
Weinan Song, Yuan Liang, Kun Wang, and Lei He, "T-Net: A Template-Supervised Network for Task-specific Feature Extraction in Biomedical Image Analysis", arXiv:2002.08406 
R10.
Chen Wu, Mingyu Wang, Xinyuan Chu, Wang Kun, and Lei He, "Low Precision Floating-point Arithmetic for High Performance FPGA-based CNN Acceleration", arXiv:2003.03852 (pdf)
R9.
Chen Wu, Mingyu Wang, Xiayu Li, Jicheng Lu, Kun Wang, and Lei He, "Phoenix: A Low-Precision Floating-Point Quantization Oriented Architecture for Convolutional Neural Networks", arXiv:2003.02628 (pdf)
R8.
Shuai Han, Sai Xu, Weixiao Meng, and Lei He, "Channel-Correlation-Enabled Transmit Optimization for MISO Wiretap Channels", arXiv:1907.01064 (pdf)
R7.
Liang Qiu, Yuanyi Ding, and Lei He, "Recurrent Neural Networks with Pre-trained Language Model Embedding for Slot Filling Task", arXiv:1812.05199v2 (pdf)
R6.
H. Park, W. Liao , K. H. Tam, M. B. Srivastava, and L. He, "A Unified Network and Node Level Simulation Framework for Wireless Sensor Networks," CENS Technical Report 25 (pdf)
R5.
W. Liao, L. He and Kevin Lepak, "Temperature-Aware Performance and Power Modeling," UCLA Engr. 04-250 (pdf)
R4.
W. Liao and L. He, "System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage," UCLA Engr. 04-249 (pdf)
R3.
L. He and H. Yu, "Vector Potential Equivalent Circuit Based on PEEC Inversion," EE Report 2002-03 (pdf)
R2.
L. He and J. Xiong, "Full-chip Routing Optimization with RLC Crosstalk Budgeting," EE Report 2002-03 (pdf)
R1.
L. He and M. Xu, "Modeling and Layout Optimization for On-chip Inductive Coupling," ECE Report 2000-01 (ps) (pdf)
 
 
 

Laboratory: 53-109 Engineering IV, Telephone: (310)267-4950

Laboratory Director: Prof. Lei He , Boelter Hall 6731D

Email: lhe@ee.ucla.edu, Telephone: (310)825-8282 ,Fax: (310)206-4685 ,Email : eda_ucla@googlegroups.com